冰冷且不带丝毫感情的机械数据音,在宇宙中某个区域响起,如同是一个面无表情的人,在自言自语:

    “通知:MG终端信号传输开始,请求指令发送,等待回馈;”

    “MG一号基地失去联系,无反馈,无法进行传输;”

    “MG二号基地失去联系,无反馈,无法进行传输;”

    “MG三号基地失去联系,无反馈,无法进行传输;”

    “MG四号基地失去联系,无反馈,无法进行传输;”

    “MG五号基地失去联系,无反馈,无法进行传输;”

    ………………

    “MG十三号基地失去联系,无反馈,无法进行传输;”

    “所有基地失去联系,无反馈,无法进行传输,申请开启隐藏备用基地;”

    “MG隐藏序列,一号、二号、三号基地进行激活联系;”

    “MG一号隐藏基地失去联系,无反馈,无法进行传输;”

    “MG二号隐藏基地失去联系,无反馈,无法进行传输;”

    “MG三号隐藏基地激活成功,反馈信息到达,可进行传输;”

    “连接MG三号隐藏基地,进行配比,传输准备;”

    “MG三号隐藏基地配比完成,准备传输;”

    “调取基因配比模版;”

    “调取基因配比模版失败,基因配比模版全部损坏,无法进行传输;”

    “检索MG三号隐藏基地所有模版;”

    “实验模版一具被检索,可塑性;身体强度120%,精神强度待检测;”

    “确认模版;”

    “模版确认成功;”

    “进行传输;”

    “开启传输;”

    “传输完毕,匹配成功,数据导入完毕,实验模版将在12小时后苏醒;”

    “联系接收单位,发起指令;”

    “指令发送,等待接收单位反馈;”

    “指令发送失败,无反馈,无接收单位反馈;”

    “提示:MG计划能源将于1小时后进入休眠状态!

    提示:MG计划能源将于1小-->>

章节列表 转码阅读中,不进行内容存储和复制